order_bg

מוצרים

5CEFA7F31I7N שבבי IC חדשים ומקוריים מעגלים משולבים רכיבים אלקטרוניים המחיר הטוב ביותר בנקודה אחת קניית שירות BOM

תיאור קצר:


פירוט המוצר

תגיות מוצר

תכונות המוצר

סוּג תיאור
קטגוריה מעגלים משולבים (ICs)

מוטבע

FPGAs (מערך שערים לתכנות שדה)

מר אינטל
סִדרָה Cyclone® VE
חֲבִילָה מַגָשׁ
חבילה סטנדרטית 27
סטטוס המוצר פָּעִיל
מספר LABs/CLBs 56480
מספר אלמנטים/תאים לוגיים 149500
סך סיביות RAM 7880704
מספר קלט/פלט 480
אספקת מתח 1.07V ~ 1.13V
סוג הרכבה מתקן משטח
טמפרטורת פעולה -40°C ~ 100°C (TJ)
חבילה / מארז 896-BGA
חבילת מכשירי ספק 896-FBGA (31×31)
מספר מוצר בסיס 5CEFA7

1. FPGAs מסדרת Virtex לביצועים גבוהים

סדרת Virtex היא המוצר היוקרתי של Xilinx והמוצר המוביל בתעשייה.Xilinx זכתה בשוק עם מוצרי סדרת Virtex שלה, ובכך השיגה את העמדה כספקית ה-FPGA המובילה.ניתן לומר כי Xilinx מובילה את תעשיית מערכי השערים הניתנים לתכנות בשטח עם משפחת מוצרי ה-FPGA שלה Virtex.הוא מכוון בעיקר ליישומים כמו תשתית טלקום, תעשיית הרכב ואלקטרוניקה מתקדמת.השבבים המיינסטרים הנוכחיים כוללים קטגוריות Vitrex-2, Virtex-2 Pro, Virtex-4, Virtex-5, Virtex-6 ו-Virtex-7.

סדרת Virtex-2 הוצגה בשנת 2002 עם תהליך של 0.15 ננומטר, מתח ליבה של 1.5V, שעוני הפעלה עד 420MHz, תמיכה ביותר מ-20 תקני ממשק I/O, יכולות ניהול שעון מערכת מלאות ו-IP קשיח מובנה טכנולוגיית ליבה המאפשרת להקצות ליבות IP קשות לכל מקום בשבב, עם יותר משאבים וביצועים גבוהים יותר מסדרת Virtex.ביצועים גבוהים יותר ממשפחת Virtex.

סדרת Virtex-2 Pro מתבססת על Virtex-2 עם יכולות עיבוד משובצות משופרות, וליבות PowerPC405 משובצות, וכוללת טכנולוגיית Active Interconnect מתקדמת להתמודדות עם האתגרים העומדים בפני מערכות בעלות ביצועים גבוהים, בנוסף לתוספת של מהירות גבוהה מקלט משדר טורי לספק פתרונות Gigabit Ethernet.

משפחת Virtex-4 מבוססת על ארכיטקטורת Advanced Silicon Block Combination Module (ASMBL), הכוללת צפיפות לוגית גבוהה עם תדרי שעון של עד 500 מגה-הרץ;עם מודולי DCM, מחיצות שעון תואמות פאזה PMCD, רשתות שעון דיפרנציאליות על-שבב;טכנולוגיית SmartRAM של 500 מגה-הרץ עם לוגיקה משולבת של בקרת FIFO, וכל קלט/פלט משולב בטכנולוגיית סינכרון מקור ChipSync עבור 1 Gbps I/O ושבב לוגי Xtreme DSP.מעצבים יכולים לבחור תת-מערכות שונות של Virtex-4 בהתאם לצרכיהם, עבור עיצובים עתירי לוגיקה: Virtex-4 LX, ליישומי עיבוד אותות בעלי ביצועים גבוהים: Virtex-4 SX, עבור קישוריות טורית במהירות גבוהה ויישומי עיבוד משובצים: Virtex- 4 FX.משפחת Virtex-4 מציעה שיפור משמעותי בכל המדדים לעומת VirtexII סדרת Virtex-4, שנמצאת בייצור המוני מסוף 2005, החליפה את VirtexII, VirtexII-Pro, והיא המוצר החשוב ביותר של Xilinx בשיא של ימינו -סוף שוק FPGA.

משפחת Virtex-5 מבוססת על טכנולוגיית תהליך נחושת מתקדמת 65nm ומשתמשת בארכיטקטורת עמודים ASMBL (Advanced Silicon Building Block) מהדור השני, המכילה חמש פלטפורמות (תת-משפחות) נפרדות.כל פלטפורמה מכילה יחסי תכונה שונים כדי לענות על הצרכים של עיצובים לוגיים מתקדמים רבים.בנוסף לארכיטקטורת הלוגיקה המתקדמת עם ביצועים גבוהים, Virtex-5 FPGAs כוללים מגוון מודולים קשיחים ברמת מערכת IP, כולל RAM/FIFO חזק של 36 Kb Block RAM/FIFO, דור שני 25×18 DSP Slice, טכנולוגיית SelectIO עם עכבת CNC מובנית, מודולי ממשק סינכרון מקור ChipSync, פונקציונליות של צג מערכת ומחוללי שעון משולבים DCM (Digital Clock Manager) ו-PLL (Phase Locked Loop) ואפשרויות תצורה מתקדמות.תכונות מבוססות פלטפורמה אחרות כוללות מודול משדר טורי אופטימלי במהירות גבוהה לקישוריות טורית משופרת, מודול נקודת קצה משולב תואם PCI Express, Ethernet MAC תלת-מצבי (בקר גישת מדיה) ומיקרו-מעבד PowerPC 440 בעל ביצועים גבוהים מודול משובץ המאפשר למעצבי לוגיקה מתקדמים לגלם את רמות הביצועים הגבוהות ביותר במערכות מבוססות FPGA שלהם.

משפחת Virtex-6 מציעה את התכונות העדכניות והמתקדמות ביותר לשוק ה-FPGA.Virtex-6 FPGAs הם הבסיס לטכנולוגיית סיליקון הניתנת לתכנות עבור ערכות בדיקה המספקות רכיבי חומרה ותוכנה כדי לעזור למעצבים להתמקד בחדשנות לאחר שהחלו מאמצי הפיתוח.משפחת Virtex-6 כוללת ארכיטקטורת עמודים ASMBL (מתקדם סיליקון תיק מודול) מהדור השלישי הכוללת מספר תת-משפחות שונות.סקירה כללית זו מתארת ​​את ההתקנים בתת-משפחות LXT, SXT ו-HXT.כל תת-משפחה מכילה שילוב שונה של תכונות כדי לעמוד ביעילות במגוון רחב של דרישות עיצוב לוגיות מתקדמות.בנוסף למארג ההיגיון בעל הביצועים הגבוהים, Virtex-6 FPGAs כוללים מספר מודולים מובנים ברמת המערכת.תכונות אלו מאפשרות למעצבי לוגיקה לבנות את הרמות הגבוהות ביותר של ביצועים ופונקציונליות במערכות ה-FPGA שלהם.Virtex-6 FPGAs מספקים גם את הפתרון הטוב ביותר כדי לענות על הצרכים של מעצבי לוגיקה בעלי ביצועים גבוהים, מעצבי DSP בעלי ביצועים גבוהים, ומעצבי מערכות משובצות בעלות ביצועים גבוהים, המביאים לוגיקה חסרת תקדים, DSP, קישוריות ויכולות מיקרו-מעבד רך.

Virtex-7 הוא מוצר FPGA מתקדם במיוחד שהושק בשנת 2011 בתהליך של 28 ננומטר המעניק ללקוחות את החופש לתחוב ולהתיר תכונות המפחיתות עלויות וצריכת חשמל תוך הגדלת הביצועים והקיבולת, ובכך מפחיתה את השקעות הפיתוח והפריסה עבור שניהם נמוכים. משפחות עם עלות וביצועים גבוהים.בנוסף, מובטחת הפחתת עלות של 35% בהשוואה ל-Virtex-6 ללא המרה מצטברת או השקעה הנדסית, מה שמגדיל עוד יותר את הפרודוקטיביות.


  • קודם:
  • הַבָּא:

  • כתבו כאן את הודעתכם ושלחו אותה אלינו